Completed
Last Updated: 13 Oct 2023 08:44 by ADMIN
Liam
Created on: 02 Aug 2023 13:07
Type: Feature Request
0
TSv2023.2.801.1 - Variables passed to API test no longer display variable name, instead display as testStudioBase64EncodedVar

The tests execute as expected but upon checking the logs the variable names are no longer displayed and instead I am only able to see testStudioBase64EncodedVar.


This change will make debugging issues much more difficult in the future

 
1 comment
ADMIN
Elena
Posted on: 13 Oct 2023 08:44

Hello Liam, 

The issue is fixed in Test Studio R3 2023 release (v.2023.3.1011). 

Regards,
Elena
Progress Telerik

Virtual Classroom is the free self-paced technical training portal that gets you up to speed with Telerik and Kendo UI products including Telerik Test Studio! Check it out at https://learn.telerik.com/.